Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Проектування постійного запам'ятовуючого пристрою

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Не вказано
Кафедра:
Кафедра ЕОМ

Інформація про роботу

Рік:
2011
Тип роботи:
Звіт про виконання лабораторної роботи
Предмет:
Моделювання
Група:
КІ

Частина тексту файла

Міністерство Освіти і Науки Національний Університет «Львівська політехніка» кафедра ЕОМ Звіт про виконання лабораторної роботи №6 з предмету «Моделювання комп’ютерних систем» на тему : «Проектування постійного запам'ятовуючого пристрою» Мета роботи: Вивчити принципи роботи постійного запам'ятовуючого пристрою (ПЗП). Отримати навички застосування масивів при створенні проектів у Active-HDL. Код програми на VHDL: library IEEE; use IEEE.STD_LOGIC_1164.all; entity PSPInt is port( CEO : in STD_LOGIC; Addr : in STD_LOGIC_VECTOR(3 downto 0); Dout : out bit_vector(3 downto 0) ); end PSPInt; architecture PSPArch of PSPInt is type Arr is Array (0 to 15) of bit_vector (3 downto 0); signal tmp : Arr:=("1111","1110","1101","1100","1011","1010","1001","1000","0111","0110","0101","0100","0011","0010","0001","0000"); begin process (Addr) begin if (CEO='1')then case(Addr) is when "0000" => Dout <= tmp(0); when "0001" => Dout <= tmp(1); when "0010" => Dout <= tmp(2); when "0011" => Dout <= tmp(3); when "0100" => Dout <= tmp(4); when "0101" => Dout <= tmp(5); when "0110" => Dout <= tmp(6); when "0111" => Dout <= tmp(7); when "1000" => Dout <= tmp(8); when "1001" => Dout <= tmp(9); when "1010" => Dout <= tmp(10); when "1011" => Dout <= tmp(11); when "1100" => Dout <= tmp(12); when "1101" => Dout <= tmp(13); when "1110" => Dout <= tmp(14); when "1111" => Dout <= tmp(15); when others => Dout <= "0000"; end case; end if; end process ; end PSPArch; Результат виконання програми на рис.№1 / Рис.№1 Висновок : під час виконання програми ми ознайомились з процесом створення масивів у мові VHDL і вивчили принципи роботи постійного запам'ятовуючого пристрою.
Антиботан аватар за замовчуванням

25.11.2012 18:11

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини